The Integration of Machine Learning in VLSI IC Design

Year : 2024 | Volume :11 | Issue : 02 | Page : 1-8
By

Y. Priya,

S. Archana,

  1. Assistant Professor, Department of ECE, Sphoorthy Engg. College, Hyderabad, India
  2. Assistant Professor, Department of ECE, Sphoorthy Engg. College, Hyderabad, India

Abstract

It represents the first use of AI in the domain of integrating circuits, which has been impacted by it. The conventional VLSI design process that is now in use is replaced by this technology. The laborious manual concepts created by people have been replaced with automated design innovations. This development would trigger a profound change in the fields of AI education and hardware computation. With the introduction of contemporary chips, which are extremely intricate, designing with human involvement is a laborious and lengthy operation. The field of artificial intelligence (AI) has been gaining substantial ground in both the development and production of semiconductors using VLSI (Very Large-S Scale Integration). While the reliability, preciseness, as well as effectiveness of many areas of design for VLSI are being improved by AI technologies, there is still little prospect for the traditional methods of verifying essential architectures. For this reason, during the past four decades, many other sophisticated tasks have been automated in addition to a number of other tasks. The drafting workflow becomes automatic when an inventive concept is generated (with regard to calculation, thinking about, optimization, and interconnecting fabrication). Businesses having their own in-house CAD organizations, like IBM and Intel, are equipped to manage certain computerized procedures. Numerous businesses, including Cadence, Brief, and Mentor Illustration, sell CAD tools that are used to integrate AI in chip design. In various technological domains, data mining has extended its reach to provide workable solutions for a wide range of issues. Machine learning significance in the software for the electronic device industry has grown, as has its promise to lower costs, increase product production, and shorten designing and implementing times. In this study, we constructed mathematical modeling (ML) BIST and discussed the role of deep learning in VLSI chip layout.

Keywords: ML, AI, VLSI, BIST, CAD, EDA

[This article belongs to Trends in Machine design (tmd)]

How to cite this article:
Y. Priya, S. Archana. The Integration of Machine Learning in VLSI IC Design. Trends in Machine design. 2024; 11(02):1-8.
How to cite this URL:
Y. Priya, S. Archana. The Integration of Machine Learning in VLSI IC Design. Trends in Machine design. 2024; 11(02):1-8. Available from: https://journals.stmjournals.com/tmd/article=2024/view=176408

References

1. Burges, Christopher JC.1998 “A tutorial on support vector machines for pattern recognition.&; Data mining and knowledge discovery 2, no. 2 121–167. 2. Lin, Yibo, and David Z. Pan. 2019″Machine learning in physical verification, mask synthesis, and physical design.” In Machine Learning in VLSI Computer-Aided Design, pp. 95–115. Springer, Cham 3. Kahng, Andrew B.2018 “Machine learning applications in physical design: Recent results and directions.” In Proceedings of the 2018 International Symposium on Physical Design, pp. 68–73. 4. Bansal, S., and R. Goering. 2012″Making 20nm Design Challenges Manageable.”http://www.chipdesignmag.com/pdfs/chip design special DAC.pdf 5. Chan, Tuck-Boon, Andrew B. Kahng, Jiajia Li, and Siddhartha Nath. 2013″Optimization of overdrive signoff.” In 18th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 344–349. IEEE. 6. R.Goering,2013“What’sNeededto“Fix”TimingSignoff?”,DACPanel. 7. Kahng,AndrewB.2018″Newdirectionsforlearning-basedICdesigntoolsandmethodologies.”In 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 405–410. IEEE. 8. Yang, Jianlei, Liwei Ma, Kang Zhao, Yici Cai, and Tin-Fook Ngai. 2015″Early stage real-time SoC power estimation using RTL instrumentation.” In The 20th Asia and South Pacific Design Automation Conference, pp. 779–784. IEEE. 9. Xie, Zhiyao, Yu-Hung Huang, Guan-Qi Fang, Haoxing Ren, Shao-Yun Fang, Yiran Chen, and Jiang Hu.2018 “RouteNet:Routabilitypredictionformixed- sizedesignsusingconvolutionalneuralnetwork.” InIEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8. 10. Kahng, Andrew B., Mulong Luo, and Siddhartha Nath. 2015″SI for free: machine learning of interconnect coupling delay and transition effects.” InACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), pp. 1–8. 11. Fagot, Christophe, Patrick Girard, and Christian Landrault. 1997″On using machine learning for logic BIST.” In Proceedings International Test Conference , pp. 338–346. 12. Ward, Samuel, Duo Ding, and David Z. Pan.2012 “PADE: A high-performance placer with automatic data path extraction and evaluation through high-dimensional data learning.” In DAC DesignAutomation Conference , pp. 756–761. IEEE. 13. Yu, Bei, David Z. Pan, Tetsuaki Matsunawa, and Xuan Zeng.2015 “Machine learning and pattern matching in physical design.” In The 20th Asia and South Pacific Design Automation Conference, pp. 286–293. IEEE. 14. Agrawal, V. D., C. R. Kime, and K. Saluja. “KA tutorial on built-in self-test, part 1: Principles.” Design & Test of Computers, IEEE 10, no. 1 (1993): 73–82.


Regular Issue Subscription Original Research
Volume 11
Issue 02
Received 10/04/2024
Accepted 03/05/2024
Published 30/09/2024

Check Our other Platform for Workshops in the field of AI, Biotechnology & Nanotechnology.
Check Out Platform for Webinars in the field of AI, Biotech. & Nanotech.